Skip to content

WIP: codegen: add B-ASIC commit hash in VHDL preamble

Mikael Henriksson requested to merge codegen-commit-hash into master

This adds the (short) Git commit ID of HEAD to the VHDL codegen preamble. Useful when debugging generated code.

Ex:

--
-- This code was automatically generated by the B-ASIC toolbox.
-- Code generation timestamp: (2023-03-15 14:47:44.405842)
-- B-ASIC short commit hash: fa55492
-- URL: https://gitlab.liu.se/da/B-ASIC
--

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
Edited by Mikael Henriksson

Merge request reports